网创优客建站品牌官网
为成都网站建设公司企业提供高品质网站建设
热线:028-86922220
成都专业网站建设公司

定制建站费用3500元

符合中小企业对网站设计、功能常规化式的企业展示型网站建设

成都品牌网站建设

品牌网站建设费用6000元

本套餐主要针对企业品牌型网站、中高端设计、前端互动体验...

成都商城网站建设

商城网站建设费用8000元

商城网站建设因基本功能的需求不同费用上面也有很大的差别...

成都微信网站建设

手机微信网站建站3000元

手机微信网站开发、微信官网、微信商城网站...

建站知识

当前位置:首页 > 建站知识

thinkphp-变量调试dump

说明

梁平ssl适用于网站、小程序/APP、API接口等需要进行数据传输应用场景,ssl证书未来市场广阔!成为创新互联的ssl证书销售渠道,可以享受市场价格4-6折优惠!如果有意向欢迎电话联系或者加微信:13518219792(备注:SSL证书合作)期待与您的合作!

dump方法,用于输出变量的信息到浏览器查看

语法

dump($var, $echo=true, $label=null)

参数解析

参数描述
var(必须)要输出的变量,支持所有变量类型
echo(可选)是否直接输出,默认为true,如果为false则返回但不输出
label(可选)变量输出的label标识,默认为空

示例

dump($memberList,$echo=true,$label=null);

输出

array (size=4)
  0 => 
    array (size=3)
      'id' => int 0
      'name' => string 'thinkphp' (length=8)
      'score' => int 1
  1 => 
    array (size=3)
      'id' => int 4
      'name' => string 'zhangsan4' (length=9)
      'score' => int 0
  2 => 
    array (size=3)
      'id' => int 5
      'name' => string 'zhangsan5' (length=9)
      'score' => int 0
  3 => 
    array (size=3)
      'id' => int 6
      'name' => string 'zhangsan6' (length=9)
      'score' => int 0

注意

echo参数为false 则返回要输出的字符串

示例

$str = dump($memberList,$echo=false,$label=null);
print_r($str);

输出

array (size=4)
  0 => 
    array (size=3)
      'id' => int 0
      'name' => string 'thinkphp' (length=8)
      'score' => int 1
  1 => 
    array (size=3)
      'id' => int 4
      'name' => string 'zhangsan4' (length=9)
      'score' => int 0
  2 => 
    array (size=3)
      'id' => int 5
      'name' => string 'zhangsan5' (length=9)
      'score' => int 0
  3 => 
    array (size=3)
      'id' => int 6
      'name' => string 'zhangsan6' (length=9)
      'score' => int 0

网页标题:thinkphp-变量调试dump
文章源于:http://bjjierui.cn/article/gchgec.html

其他资讯