网创优客建站品牌官网
为成都网站建设公司企业提供高品质网站建设
热线:028-86922220
成都专业网站建设公司

定制建站费用3500元

符合中小企业对网站设计、功能常规化式的企业展示型网站建设

成都品牌网站建设

品牌网站建设费用6000元

本套餐主要针对企业品牌型网站、中高端设计、前端互动体验...

成都商城网站建设

商城网站建设费用8000元

商城网站建设因基本功能的需求不同费用上面也有很大的差别...

成都微信网站建设

手机微信网站建站3000元

手机微信网站开发、微信官网、微信商城网站...

建站知识

当前位置:首页 > 建站知识

如何浅析UVM概念中的raise/dropobjection

如何浅析UVM概念中的raise/drop objection,针对这个问题,这篇文章详细介绍了相对应的分析和解答,希望可以帮助更多想解决这个问题的小伙伴找到更简单易行的方法。

创新互联公司是一家网站设计公司,集创意、互联网应用、软件技术为一体的创意网站建设服务商,主营产品:响应式网站建设、成都品牌网站建设营销型网站。我们专注企业品牌在网站中的整体树立,网络互动的体验,以及在手机等移动端的优质呈现。成都网站设计、做网站、移动互联产品、网络运营、VI设计、云产品.运维为核心业务。为用户提供一站式解决方案,我们深知市场的竞争激烈,认真对待每位客户,为客户提供赏析悦目的作品,网站的价值服务。

UVM中,component的task phase是消耗仿真时间的,各个components需要在不同层次的task phase中同步信息。

UVM中通过objection mechanism来控制phase的执行,通过raise or drop objection来决定phase中事件的开始和停止。

当程序根据phase执行顺序,进入到某一个phase中时,它会收集此phase提起的所有的objection(每个component都可以raiseobjection)

当这个phase中所有的objection都被撤销(drop)之后,那么这个phase就执行结束。

components或sequence将在验证行为的开始 raise phase objection,在验证行为完成后drop phase  objection。一旦phase里所有的objection都被dropped,该phase就结束了。

考虑一个例子,agent完成读写操作。通常可以在sequence 或者test中添加raise/drop objection。

Objection in sequence

class wr_rd_seq extends uvm_sequence#(mem_seq_item);  
task pre_body();    
// raise objection if started as a root sequence    
if(starting_phase!= null)      
starting_phase.raise_objection(this);  endtask   task body();    
`uvm_do_with(req,wr_en==1);    
`uvm_do_with(req,rd_en==1);  
endtask   task post_body();    
// drop objection if started as a root sequence    
if(starting_phase!= null)      
starting_phase.drop_objection(this);  
endtaskendclass

在uvm_sequence中有一个starting_phase成员,它是uvm_phase类型。如果我们通过设置run phase的default sequence来启动一个sequence,那么会默认将run phase的phase传递给这个sequence的starting_phase.

Objection in test

如果需要在test中显式启动sequence,即在test中添加objection。

class wr_rd_test extends uvm_test; ...  
task main_phase(uvm_phase phase);    
phase.raise_objection(); 
//rasing objection      wr_rd_seq.start(mem_agent.sequencer);    
phase.drop_objection();  
//droping objection  endtask     endclass

在test中显式启动sequence时, starting_phase的值是null。

关于如何浅析UVM概念中的raise/drop objection问题的解答就分享到这里了,希望以上内容可以对大家有一定的帮助,如果你还有很多疑惑没有解开,可以关注创新互联行业资讯频道了解更多相关知识。


分享名称:如何浅析UVM概念中的raise/dropobjection
文章地址:http://bjjierui.cn/article/igospp.html

其他资讯