网创优客建站品牌官网
为成都网站建设公司企业提供高品质网站建设
热线:028-86922220
成都专业网站建设公司

定制建站费用3500元

符合中小企业对网站设计、功能常规化式的企业展示型网站建设

成都品牌网站建设

品牌网站建设费用6000元

本套餐主要针对企业品牌型网站、中高端设计、前端互动体验...

成都商城网站建设

商城网站建设费用8000元

商城网站建设因基本功能的需求不同费用上面也有很大的差别...

成都微信网站建设

手机微信网站建站3000元

手机微信网站开发、微信官网、微信商城网站...

建站知识

当前位置:首页 > 建站知识

verilog中的$display和$wirte-创新互联

Verilog中的$display和$write任务

1、格式

      $display(p1,p2, …,pn);

目前累计服务客户1000+,积累了丰富的产品开发及服务经验。以网站设计水平和技术实力,树立企业形象,为客户提供成都做网站、成都网站建设、网站策划、网页设计、网络营销、VI设计、网站改版、漏洞修补等服务。创新互联始终以务实、诚信为根本,不断创新和提高建站品质,通过对领先技术的掌握、对创意设计的研究、对客户形象的视觉传递、对应用系统的结合,为客户提供更好的一站式互联网解决方案,携手广大客户,共同发展进步。

      $write(p1,p2, …,pn);

这两个函数和系统任务的作用都是用来输出信息,即将参数p2到pn按参数p1给定的格式输出。参数p1通常称为:“格式控制”,参数p2至pn通常称为“输出列表”。

$display自动地在输出后进行换行,$write则不是这样。如果想在一行里输出多个信息,可以使用$write。如:$display(“%d”,10) 和 $display(“%d\n”,10) 效果相同

在$display和$write中,其输出格式控制是用双引号括起来的字符串,它包括以下两种信息:

1、格式说明,由”%”和格式字符组成。它的作用是将输出的数据转换成指定的格式输出。格式说明总是由”%”字符开始的。下面是几种常用的输出格式。

      (1)、 %h或%H       以十六进制的形式输出

      (2)、 %d或%D       以十进制的形式输出

      (3)、 %o或%O       以八进制的形式输出

      (4)、 %b或%B       以二进制的形式输出

(5)、 %c或%C       以ASCII码字符的形式输出

(6)、 %v或%V      输出网络型数据信号强度

(7)、 %m或%M      输出等级层次的名字

(8)、 %s或%S       以字符串的形式输出

(9)、 %t或%T       以当前的时间格式的形式输出

(10)、 %e或%E       以指数的形式输出实型数

(11)、%f或%F       以十进制的形式输出实型数

(12)、%g或%G       以指数或者十进制的形式输出实型数,无论何种格式都以较短的结果输出。

(13)、%x  十六进制

(14)、%i   读入十进制,八进制,十六进制,在编译时通过数据前置来区分

如:%i0x31 表示为十六进制 %i031 表示为八进制 %i31 表示为十进制

(15)、%u  无符号十进制数

2、普通字符,即需要原样输出的字符。其中包括一些转义字符,下面的字符形式用于格式字符串参数中,用来显示特殊的字符。

      (1)、 \n   换行

      (2)、 \t 相当于按一个Tab键

      (3)、 \\ 反斜杠字符\

(4)、 \”  双引号字符”

      (5)、 \0 八进制数代表的字符

      (6)、 \0x 十六进制数代表的字符

      (7)、 %% 百分符号%

Verilog 中, 转义符 一定是使用在双引号括起来的字符串中!

2%v %m %t

1、%v用于打印一个线网类型变量的信号强度,它不能打印矢量变量的信号强度,而只能打印标量变量,或者矢量变量的指定位的信号强度。信号强度用3个符号输出表示,前两个符号表示信号强度,而第三个符号表示信号的逻辑值。信号强度和逻辑值的意义分别如下面两个表所示:

  信号逻辑值表示:

逻辑值 表示意义
0 表示逻辑0值
1 表示逻辑1值
X 表示逻辑不定态
Z 表示逻辑高阻态
L 表示逻辑0值,或者逻辑高阻态
H 表示逻辑1值,或者逻辑高阻态

  信号强度表示:

标记符 强度名 强度值表示
Su 电源级驱动(Supply drive) 7
St 强驱动(Strong drive) 6
Pu 上拉级驱动(Pull drive) 5
La 大容性(Large caPACitor) 4
We 弱驱动(Weak drive) 3
Me 中级容性(Medium capacitor) 2
Sm 小容性(Small capacitor) 1
Hi 高容性(High capacitor) 0

用%v打印一个信号的强度时,前两个符号除了用上表中的信号强度“标志符”表示信号强度外,也可以用两个数字表示信号强度。关于信号强度和逻辑值的建模在后续章节进行详细介绍。

2、使用%m,可以打印当前打印语句所在的模块层次。 3、%t 通常用于打印当前的仿真时间,当然也可以用于打印其他数据。该转义符表示把对应的变量使用当前使用的时间表示格式进行打印。Verilog提供 系统函数 $time获得当前的仿真时间信息,该系统函数输出值为64比特表示的整数。Verilog还提供系统函数$timeformat用于设定当前的时间格式。关于$time和$timeformat的使用也放在后续章节说明。

可以显示全层次路径名,包括模块实例、任务、函数、命名块。

另外有需要云服务器可以了解下创新互联scvps.cn,海内外云服务器15元起步,三天无理由+7*72小时售后在线,公司持有idc许可证,提供“云服务器、裸金属服务器、高防服务器、香港服务器、美国服务器、虚拟主机、免备案服务器”等云主机租用服务以及企业上云的综合解决方案,具有“安全稳定、简单易用、服务可用性高、性价比高”等特点与优势,专为企业上云打造定制,能够满足用户丰富、多元化的应用场景需求。


分享标题:verilog中的$display和$wirte-创新互联
文章来源:http://bjjierui.cn/article/iiecc.html

其他资讯