网创优客建站品牌官网
为成都网站建设公司企业提供高品质网站建设
热线:028-86922220
成都专业网站建设公司

定制建站费用3500元

符合中小企业对网站设计、功能常规化式的企业展示型网站建设

成都品牌网站建设

品牌网站建设费用6000元

本套餐主要针对企业品牌型网站、中高端设计、前端互动体验...

成都商城网站建设

商城网站建设费用8000元

商城网站建设因基本功能的需求不同费用上面也有很大的差别...

成都微信网站建设

手机微信网站建站3000元

手机微信网站开发、微信官网、微信商城网站...

建站知识

当前位置:首页 > 建站知识

volist标签在thinkphp中的作用有哪些-创新互联

本篇文章为大家展示了volist标签在thinkphp中的作用有哪些,内容简明扼要并且容易理解,绝对能使你眼前一亮,通过这篇文章的详细介绍希望你能有所收获。

创新互联建站专注于开平企业网站建设,响应式网站,电子商务商城网站建设。开平网站建设公司,为开平等地区提供建站服务。全流程定制制作,专业设计,全程项目跟踪,创新互联建站专业和态度为您提供的服务

属性:

name(必须):要输出的数据模板变量

id(必须):循环变量

offset(可选):要输出数据的offset

length(可选):输出数据的长度

key(可选):循环的key变量,默认值为i

mod(可选):对key值取模,默认为2

empty(可选):如果数据为空显示的字符串

通常模型的select方法返回的结果是一个二维数组,可以直接使用volist标签进行输出。

$User = M('User');
$list = $User->select();
$this->assign('list',$list);

{$vo.id}
{$vo.name}

输出偶数记录


{$vo.name}

mod从0开始计数,这里mod循环计数为0,1

Mod属性还用于控制一定记录的换行,例如:


{$vo.name}

mod循环计数,0,1,2,3,4 当值为4的时候换行。也就是5条数据换一行。

输出循环变量


{$k}.{$vo.name}

循环变量从1开始1、2、3、4

如果没有指定key属性的话,默认使用循环变量i,例如:


{$i}.{$vo.name}

综合案例:



checked="checked">{sh:$vo.name} 电话({sh:$vo.tel})
{sh:$mod}

上述内容就是volist标签在thinkphp中的作用有哪些,你们学到知识或技能了吗?如果还想学到更多技能或者丰富自己的知识储备,欢迎关注创新互联行业资讯频道。


名称栏目:volist标签在thinkphp中的作用有哪些-创新互联
标题路径:http://bjjierui.cn/article/jspsc.html

其他资讯